Part Number Hot Search : 
3253C 658AIT CY7C13 PG108RS SM5520 C0603X CL1105 TLP361
Product Description
Full Text Search
 

To Download MG64P Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  d ata s heet november 1998 o k i a s i c p r o d u c t s mg63p/64p/65p 0.25m embedded dram/ customer structured arrays

1 oki semiconductor mg63p/64p/65p 0.25m embedded dram/customer structured arrays description okis 0.25 m mg63p/64p/65p application-specific integrated circuit (asic) provides the ability to embed large blocks of synchronous dram (sdram) into an embedded array architecture called the customer structured array (csa). utilizing okis leadership in dram technologies and wide experi- ence of embedding sdram in logic products, oki is able to integrate sdram and asic technology. the merged dram/asic process efficiently implements the oki stacked capacitor memory cell. the mg63p/64p/65p csa series uses three, four, and five metal process layers, respectively, on 0.25 m drawn (0.18 m l-effective) cmos technology. the semiconductor process is adapted from okis pro- duction-proven 64- mbit dram manufacturing process. the 0.25 m family provides significant performance, density, and power improvement over previous 0.30 m and 0.35 m technologies. an innovative 4-transistor cell structure provides 30 to 50% less power and 30 to 50% more usable gates than traditional cell designs. the oki 0.25 m family operates using 2.5-v vdd core with optimized 3-v i/o buffers. the 3-, 4-, and 5-layer metal mg63p/64p/65p csa series contains 21 devices each, offering up to 868 i/o pads and over 5.4m raw gates. these csa array sizes are designed to fit the most popular quad flat pack (qfp), low profile qfps (lqfps), thin qfps (tqfps), and plastic ball grid array (pbga) packages. oki uses the artisan components memory compiler which provides high performance, embedded synchronous single- and dual-port sram mac- rocells for csa designs. as such, the mg63p/64p/65p series is suited to memory-intensive asics and high volume designs where fine tuning of package size produces significant cost or real-estate savings. the embedded sdram represents part of okis menu of major ip core functions for the 0.25 m asic products. other functions include arm7tdmi, gb ethernet mac, pll, pci and others in planning. features ? 0.25m drawn 3-, 4-, and 5-layer metal cmos ? optimized 2.5-v core ? optimized 3-v i/o ? csa architecture availability ? 100 mhz embedded sdram cores up to 16 mb per occurrence ? 77-ps typical logic gate propagation delay (for a 4x-drive inverter gate with a fanout of 2 and 0 mm of wire, operating at 2.5 v) ? over 5.4m raw gates and 868 i/o pads using 60 staggered i/o ? user-configurable i/o with v ss , v dd , ttl, 3-state, and 1- to 24-ma options ? slew-rate-controlled outputs for low-radiated noise ? h-clock tree cells which reduces the maximum skew for clock signals ? low 0.2w/mhz/gate power dissipation ? user-configurable single- and dual-port memories (sram) ? specialized ip cores and macrocells including 32-bit arm7tdmi cpu, phase-locked loop (pll), and peripheral component interconnect (pci) cells ? floorplanning for front-end simulation, back- end layout controls, and link to synthesis ? joint test action group (jtag) boundary scan and scan path automatic test pattern generation (atpg) ? support for popular cae systems including cadence, ikos, mentor graphics, model technology, inc. (mti), synopsys, and viewlogic
n mg63p/64p/65p n CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC 2 oki semiconductor mg63p/64p/65p family listing 5 layer metal: mg65pbxx 4 layer metal: MG64Pbxx 3 layer metal: mg63pbxx array architecture the primary components of a 0.25m mg63p/64p/65p circuit include: ? i/o base cells ? 60m pad pitch ? configurable i/o pads for v dd , v ss , or i/o (optimized 3-v i/o) ?v dd and v ss pads dedicated to wafer probing ? separate power bus for output buffers ? separate power bus for internal core logic and input buffers ? core base cells containing n-channel and p-channel pairs, arranged in column of gates ? isolated gate structure for reduced input capacitance and increased routing flexibility each array has 24 dedicated corner pads for power and ground use during wafer probing, with four pads per corner. the arrays also have separate power rings for the internal core functions (v ddc and v ssc ) and output drive transistors (v ddo and v sso ). series (mg6x) no. of pads no. of rows no. of columns no. of raw gates mg63p 3lm usable gates MG64P 4lm usable gates mg65p 5lm usable gates b02 68 84 280 23,520 20,933 22,344 22,344 b04 108 144 480 69,120 57,370 65,664 65,664 b06 148 204 680 138,720 106,814 131,784 131,784 b08 188 264 880 232,320 167,270 218,381 220,704 b10 228 324 1,080 349,920 234,446 311,429 332,424 b12 268 384 1,280 491,520 309,658 412,877 466,944 b14 308 444 1,480 657,120 387,701 519,125 611,122 b16 348 504 1,680 846,720 474,163 635,040 745,114 b18 388 564 1,880 1,060,320 572,573 763,430 901,272 b20 428 624 2,080 1,297,920 648,960 882,586 1,025,357 b22 468 684 2,280 1,559,920 732,974 982,498 1,154,045 b24 508 744 2,480 1,845,120 848,755 1,107,072 1,310,035 b26 548 804 2,680 2,154,720 969,624 1,249,738 1,465,210 b28 588 864 2,880 2,488,320 1,094,861 1,393,459 1,642,291 b30 628 924 3,080 2,845,920 1,223,746 1,536,797 1,821,389 b32 668 984 3,280 3,227,520 1,355,558 1,678,310 2,001,062 b34 708 1,044 3,480 3,633,120 1,489,579 1,816,560 2,179,872 b36 748 1,104 3,680 4,062,720 1,625,088 1,950,106 2,356,378 b38 788 1,164 3,880 4,516,320 1,761,365 2,077,507 2,529,139 b40 828 1,224 4,080 4,993,920 1,897,690 2,197,325 2,696,717 b42 868 1,284 4,280 5,495,520 2,033,342 2,308,118 2,857,670
CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC n mg63p/64p/65p n 3 oki semiconductor mg63p/64p/65p csa layout methodology the procedure to design, place, and route a csa follows. 1. select suitable base array frame from the available predefined sizes. to select an array size: - identify megacell functions (e.g. embedded sdram) required and minimum array size to hold macrocell functions. - add together all the area occupied by the required random logic and macrocells and select the optimum array. 2. make a floor plan for the designs megacells. - oki design center engineers verify the master slice and review simulation. - oki design center or customer engineers floorplan the array using okis supported cadence dp3 or gambit gfp and customer performance specifications. - using oki cad software, design center engineers remove the sog transistors and replace them with diffused memory macrocells to the customers specifications. core base cell with 4 transistors separate power bus (v ddo , v sso ) over i/o cell for output buffers (2nd metal/3rd metal) v dd , v ss pads (4) in each corner for wafer probing only configurable i/o pads for v dd , v ss , or i/o separate power bus (v ddc , v ssc ) for internal core logic (2nd metal/3rd metal) i/o base cells 1, 2, 3, 4, or 5 layer metal interconnection in core area figure 7. mg65p array architecture
n mg63p/64p/65p n CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC 4 oki semiconductor figure 8 shows an array base after placement of the optimized memory macrocells. 3. place and route logic into the array transistors. - oki design center engineers use layout software and customer performance specifications to connect the random logic and optimized memory macrocells. figure 9 marks the area in which placement and routing is performed with cross hatching. figure 10 illustrates okis embedded dram asic. oki provides two types of reconfigurable sdram cores generated from the compiler. figure 8. optimized memory macrocell floor plan mega macrocells high-density sram embedded sdram figure 9. random logic place and route
CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC n mg63p/64p/65p n 5 oki semiconductor sdram core functional specification density type i: 512kb (1bk) - 8mb (16bk) by 512 kb type ii: 1 mb (1bk) - 16 mb (16 bk) by 1 mb bit organization x16/x32/x64/x128/x256 (x256 type ii only) maximum clock rate 100 mhz vdd 2.5v cas latency 2 burst length 1 write latency 0 dqm latency 0: write, 2: read refresh 512 refresh cycles/8 ms macro pinout clk, act, pre, rd, wr, ax(8:0), ay(2:0), bax(2:0), bay(2:0), dqm (15:0), d(127:0), q9127:0), ref, rst, test pins control type i: 512 kb (1 bank) - 8 mb (16 bank); 512 kb increment figure 10. sdram compiler bank(512kb) bank(512kb) bank(512kb) i/o data input (128 bit) data output (128 bit) reconfigurable sdram core type i i: 1mb (1 bank) - 16 mb (16 bank); 1mb increment control bank(1mb) bank(1mb) bank(1mb) i/o data input (256 bit) data output (256 bit)
n mg63p/64p/65p n CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC 6 oki semiconductor ac specifications sdram core timings parameter description value and unit tck clock cycle time 10 ns tac clock access time 6 ns tch clock high pulse width 3 ns tcl clock low pulse width 3 ns toh data output hold time 2 ns tsi input setup time 3 ns thi input hold time 3 ns trcd ras to cas delay time 30 ns twr write recovery time 10 ns trc bank cycle time 90 ns tras active command period 60 ns trp precharge time 30 ns trrd bank to bank delay time 10 ns tccd cas to cas delay time 1 clk
CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC n mg63p/64p/65p n 7 oki semiconductor electrical characteristics absolute maximum ratings (v ss = 0 v, t j = 25c) [1] 1. permanent device damage may occur if absolute maximum ratings are exceeded. functional operation should be restricted to the conditions in the other specifications of this data sheet. exposure to absolute maximum rating conditions for extended periods may affect device reliability. parameter symbol rated value unit power supply voltage v dd core (2.5 v) -0.3 to +3.6 v v dd i/o (3.3 v) -0.3 to +4.6 input voltage (input buffer) v i -0.3 to +4.6 output voltage (output buffer) v o -0.3 to +4.6 input current (input buffer) i i -10 to +10 ma output current per i/o (output buffer) i o -24 to +24 storage temperature t stg -65 to +150 c recommended operating conditions (v ss = 0 v) parameter symbol rated value unit power supply voltage v dd core (2.5 v) +2.25 to +2.75 v v dd i/o (3.3 v) +3.0 to +3.6 junction temperature t j -40 to +85 c
n mg63p/64p/65p n CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC 8 oki semiconductor dc characteristics (v dd core = 2.25 to 2.75 v, v dd i/o = 3.0 to 3.6 v, v ss = 0 v, t j = -40 to +85c) parameter symbol conditions rated value unit min. typ. [1] 1. typical condition is v dd i/o = 3.3 v, v dd core = 2.5 v, and t j = 25c on a typical process. max. high-level input voltage v ih ttl input (normal) 2.0 C v dd v low-level input voltage v il ttl input (normal) -0.0 C 0.8 ttl- level schmitt trigger input buffer threshold voltage v t+ ttl input C 1.5 2.0 v t- 0.7 1.0 C d v t v t+ - vt- 0.4 0.5 C high-level output voltage (output buffer) v oh i oh = -100 a v dd -0.2 C C i oh = -1, -2, -4, -6, -8, -12, -24 ma 2.4 C C low-level output voltage (output buffer) v ol i ol = 100 m a C C 0.2 a i ol = 1, 2, 4, 6, 8, 12, 24 ma C C 0.4 high-level input current (input buffer) i ih v ih = v dd CC10 v ih = v dd (50-k w pull-down) 10 66 200 low-level input current (normal input buffer) i il v il = v ss -10 C 10 v il = v ss (50-k w pull-up) -200 -66 -10 v il = v ss (3-k w pull-up) -3.3 -1.1 -0.3 ma 3-state output leakage current (normal input buffer) i ozh v oh = v dd -10 C 10 a v oh = v dd (50-k w pull-down) 10 66 200 i ozl v ol = v ss -10 C 10 a v ol = v ss (50-k w pull-up) -200 -66 -10 v ol = v ss (3-k w pull-up) -3.3 -1.1 -0.3 ma stand-by current [2] 2. ram/rom should be in powerdown mode. i ddq output open, v ih = v dd , v il = v ss design dependent a
CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC n mg63p/64p/65p n 9 oki semiconductor ac characteristics (core v dd = 2.5 v, v ss = 0 v, t j = 25c) parameter driving type conditions [1] [2] 1. input transition time in 0.15 ns / 2.5 v. 2. typical condition in v dd = 2.5 v and t j = 25 o c for a typical process. rated value [3] 3. rated value is calculated as an average of the l-h and h-l delay times of each macro type on a typical process. unit internal gate propagation delay inverter 1x f/o = 2, l = 0 mm v dd = 2.5 v 0.091 ns 2x 0.079 4x 0.065 2-input nand 1x 0.13 2x 0.11 4x 0.09 2-input nor 1x 0.16 4x 0.13 inverter 1x f/o = 2, l = standard wire length v dd = 2.5 v 0.24 2x 0.18 4x 0.12 2-input nand 1x 0.30 2x 0.20 4x 0.14 2-input nor 1x 0.41 4x 0.24 toggle frequency f/o = 1, l = 0 mm 1100 mhz ac characteristics (i/o v dd = 3.3 v, v ss = 0 v, t j = 25c) parameter conditions rated value unit input buffer propagation delay f/o = 2, l = standard wire length 0.29 ns output buffer propagation delay push-pull 4 ma cl = 20 pf 1.73 ns normal output 8 ma cl = 50 pf 1.96 ns buffer 12ma cl = 100 pf 2.52 ns output buffer transition time [1] 1. output rising and falling times are both specified over a 10 to 90% range. push-pull 12 ma cl = 100 pf 3.79 (r) ns normal output 3.07 (f) ns buffer ns
n mg63p/64p/65p n CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC 10 oki semiconductor macro library oki semiconductor supports a wide range of macrocells and macrofunctions, ranging from simple hard macrocells for basic boolean operations to large, user-parameterizable macrofunctions. the following figure illustrates the main classes of macrocells and macrofunctions available. macro library macrocells basic macrocells basic macrocells with scan test clock tree driver macrocells 3-v output macrocells msi macrocells mega/special macrocells [1] 3-v input macrocells 3-v bi-directional macrocells oscillator macrocells memory macrocells macrofunctions examples nands nors exors latches flip-flops 3-state outputs push-pull outputs counters shift registers arm7tdmi pll inputs inputs with pull-ups gated oscillators open drain outputs slew rate control outputs pci outputs inputs with pull-downs i/o pci i/o i/o with pull-downs i/o with pull-ups sog srams: single-port srams dual-port srams msi macrofunctions flip-flops combinational logic [1] under development optimized diffused srams: single-port srams dual-port srams 4-bit register/latches figure 11. oki macrocell and macrofunction library embedded sdram
CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC n mg63p/64p/65p n 11 oki semiconductor macrocells for driving clock trees oki offers clock-tree drivers that minimize clock skew. the advanced layout software uses dynamic driver placement and sub-trunk allocation to optimize the clock-tree implementation for a particular cir- cuit. features of the clock-tree driver-macrocells include: ? true rc back annotation of the clock network ? automatic fan-out balancing ? dynamic sub-trunk allocation ? single clock tree driver logic symbol ? automatic branch length minimization ? dynamic driver placement ? up to four clock trunks clock figure 12. clock tree structure
n mg63p/64p/65p n CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC 12 oki semiconductor oki advanced design center cad tools okis advanced design center cad tools include support for the following: ? floorplanning for front-end simulation and back-end layout control ? clock tree structures improve first-time silicon success by eliminating clock skew problems ? jtag boundary scan support ? power calculation which predicts circuit power under simulation conditions to accurately model package requirements vendor platform operating system [1] 1. contact oki application engineering for current software versions. vendor software/revision [1] description cadence hp9000, 7xx ibm rs6000 sun ? [2] 2. sun or sun-compatible. hp-ux aix sunos, solaris composer? verilog? nc-verilog? veritime? verifault? concept? [3] leapfrog? 3. sun and hp platform only. design capture simulation simulation timing analysis fault grading design capture vhdl simulation ikos hp9000, 7xx, sun [2] hp-ux, sunos, solaris nsim gemini/voyager simulation mentor graphics? hp9000, 7xx sun [2] hp-ux sunos, solaris idea? quickvhdl quicksim ii? dft advisor fastscan design capture vhdl simulation logic simulation test synthesis atpg model technology inc. (mti) hp9000, 7xx sun [2] pc hp-ux sunos, solaris win/nt? v-system vhdl simulation synopsys (interface to mentor graphics, viewlogic) ibm rs6000 hp9000, 7xx sun [2] aix hp-ux sunos, solaris design compiler? hdl/vhdl compiler? test compiler? vss? compilation design synthesis test synthesis vhdl simulation viewlogic pc sun [2] windows?, win/nt? [4] sunos, solaris 4. in development. powerview? fusion hdl simulation vhdl/verilog? simulation
CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC n mg63p/64p/65p n 13 oki semiconductor design process the following figure illustrates the overall ic design process, also indicating the three main interface points between external design houses and oki asic application engineering. floorplanning scan insertion (optional) cdc [1] test vectors vhdl/hdl description test vector conversion (oki tpl [4] ) netlist conversion (edif 200) tdc [3] pre-layout simulation (cadence verilog) layout automatic test pattern generation (synopsys test compiler) verification (cadence dracula) post-layout simulation (cadence verilog) manufacturing prototype test program conversion level 1 [5] level 2 level 2.5 [5] level 3 [5] cae front-end oki interface [1] okis circuit data check program (cdc) verifies logic design rules [2] okis link to synthesis floorplanning toolset (lsf) transfers post-floorplanning timing for resynthesis [3] okis test data check program (tdc) verifies test vector rules [4] okis test pattern language (tpl) [5] alternate customer-oki design interfaces available in addition to standard level 2 [6] standard design process includes fault simulation gate-level simulation floorplanning lsf [2] synthesis fault simulation [6] figure 13. okis design process
n mg63p/64p/65p n CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC 14 oki semiconductor automatic test pattern generation okis 0.25m asic technologies support atpg using full scan-path design techniques, including the fol- lowing: ? increases fault coverage 3 95% ? uses synopsys test compiler ? automatically inserts scan structures ? connects scan chains ? traces and reports scan chains ? checks for rule violations ? generates complete fault reports ? allows multiple scan chains ? supports vector compaction atpg methodology is described in detail in okis 0.25m scan path application note . floorplanning design flow oki offers two floorplanning tools for high-density asic design: cadence dp3, and gambit gfp. the two main purposes for okis floorplanning tools are to: ? ensure conformance of critical circuit performance specifications ? shorten overall design tat in a traditional design approach with synthesis tools, timing violations after prelayout simulation are fixed by manual editing of the netlist. this process is difficult and time consuming. also, there is no physical cluster information provided in the synthesis tool, and so it is difficult to synthesize logic using predicted interconnection delay due to wire length. synthesis tools may therefore create over-optimized results. to minimize these problems, synopsys proposed a methodology called, links to layout (ltl). based on this methodology, oki developed an interface between okis floorplanner and the synopsys environ- ment, called link synopsys to floorplanner (lsf). as not every synopsys user has access to the synopsys floorplan management tool, oki had developed the lsf system to support both users who can access synopsys floorplan management and users who do not have access to synopsys floorplan manage- ment. scan data in scan select d c sd ss q qn d c sd ss a b combinational logic fd1as fd1as scan data out q qn figure 14. full scan path con?guration
CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC n mg63p/64p/65p n 15 oki semiconductor more information on okis floorplanning capabilities is available in okis application note, using okis floorplanner: standalone operation and links to synopsys . ieee jtag boundary scan support boundary scan offers efficient board-level and chip-level testing capabilities. benefits resulting from incorporating boundary-scan logic into a design include: ? improved chip-level and board-level testing and failure diagnostic capabilities ? support for testing of components with limited probe access ? easy-to-maintain testability and system self-test capability with on-board software ? capability to fully isolate and test components on the scan path ? built-in test logic that can be activated and monitored ? an optional boundary scan identification (id) register gate level netlist (edif) hdl entry synthesis constraints constraints met? no yes initial floorplan invoke export on floorplanner invoke delay load back-annotation files constraints met? no yes incremental optimization with physical information constraints met? no yes incremental floorplan invoke import on floorplanner to simulation and p&r dspf/oki rc/ pdef (synopsys) wire load model (synopsys) net capacitance (synopsys script (synopsys) delay (sdf) pdef (synopsis) gate level netlist (edif) initial synthesis timing optimization = in synopsys dc/da = in floorplanner figure 15. lsf system design flow
n mg63p/64p/65p n CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC 16 oki semiconductor okis boundary scan methodology meets the jtag boundary scan standard, ieee 1149.1-1990. oki sup- ports boundary scan on both sea of gates (sog) and customer structured array (csa) asic technolo- gies. either the customer or oki can perform boundary-scan insertion. more information is available in okis jtag boundary scan application note. (contact the oki application engineering department for interface options.) package options tqfp, lqfp and qfp package menu (preliminary) base array product name i/o pads [1] 1. i/o pads can be used for input, output, bi-directional, power, or ground. l = available now lqfp qfp tqfp 144 176 208 208 240 100 mg6xpb02 68 l mg6xpb04 108 l mg6xpb06 148 l mg6xpb08 188 l mg6xpb10 228 ll l mg6xpb12 268 llll mg6xpb14 308 llll l mg6xpb16 348 llll l mg6xpb18 388 llll l mg6xpb20 428 llll l mg6xpb22 468 llll l mg6xpb24 508 llll l mg6xpb26 548 llll l \ mg6xpb28 588 lllll mg6xpb30 628 lllll mg6xpb32 668 lllll mg6xpb34 708 lllll mg6xpb36 748 lllll mg6xpb38 788 llll mg6xpb40 828 llll mg6xpb42 868 llll body size (mm) 20 x 20 24 x 24 28 x 28 28 x 28 32 x 32 14 x 14 lead pitch (mm) 0.5 0.5 0.5 0.5 0.5 0.5
CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC n mg63p/64p/65p n 17 oki semiconductor bga package menu base array product name i/o pads [1] 1. i/o pads can be used for input, output, bi-directional, power, or ground. l = available now bga 256 352 420 560 mg6xpb02 68 mg6xpb04 108 mg6xpb06 148 mg6xpb08 188 mg6xpb10 228 mg6xpb12 268 mg6xpb14 308 l mg6xpb16 348 l mg6xpb18 388 ll mg6xpb20 428 ll mg6xpb22 468 lll mg6xpb24 508 lll mg6xpb26 548 lll mg6xpb28 588 lll mg6xpb30 628 lll mg6xpb32 668 ll mg6xpb34 708 lll mg6xpb36 748 ll mg6xpb38 788 ll mg6xpb40 828 ll mg6xpb42 868 l body size (mm) 27x27 35x35 35x35 35x35 lead pitch (mm) 1.27 1.27 1.27 1.00 ball count 256 352 420 560 signal i/o 231 304 352 400 power ball 12 16 32 80 gnd ball 13 32 36 80
n mg63p/64p/65p n CCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCC 18 oki semiconductor notes:
oki semiconductor the information contained herein can change without notice owing to product and/or technical improvements. please make sure before using the product that the information you are referring to is up-to-date. the outline of action and examples of application circuits described herein have been chosen as an explanation of the standard action and performance of the product. when you actually plan to use the product, please ensure that the outside conditions are reflec ted in the actual circuit and assembly designs. oki assumes no responsibility or liability whatsoever for any failure or unusual or unexpected operation resulting from misuse, neglect, improper installation, repair, alteration or accident, improper handling, or unusual physical or electrical stress including, b ut not limited to, exposure to parameters outside the specified maximum ratings or operation outside the specified operating range. neither indemnity against nor license of a third party's industrial and intellectual property right,etc.is granted by us in con nection with the use of product and/or the information and drawings contained herein. no responsibility is assumed by us for any infringemen t of a third party's right which may result from the use thereof. when designing your product, please use our product below the specified maximum ratings and within the specified operating rang es, including but not limited to operating voltage, power dissipation, and operating temperature. the products listed in this document are intended for use in general electronics equipment for commercial applications (e.g.,of fice automation, communication equipment, measurement equipment, consumer electronics, etc.).these products are not authorized for use in any system or application that requires special or enhanced quality and reliability characteristics nor in any system or application where the failure of such system or application may result in the loss or damage of property or death or injury to humans. such applications include, but are not limited to: traffic control, automotive, safety, aerospace, nuclear power control, and medica l, including life support and maintenance. certain parts in this document may need governmental approval before they can be exported to certain countries. the purchaser assumes the responsibility of determining the legality of export of these parts and will take appropriate and necessary steps, at their own expense, for export to another country. copyright 1998 oki semiconductor oki semiconductor reserves the right to make changes in specifications at anytime and without notice. this information furnishe d by oki semiconductor in this publication is believed to be accurate and reliable. however, no responsibility is assumed by oki semiconductor for its use; nor for any infringements of patents or other rights of third parties resulting from its use. no lic ense is granted under any patents or patent rights of oki.
oki r egional s ales o ffices corporate headquarters 785 n. mary avenue sunnyvale, ca 94086-2909 tel: 408/720-1900 fax: 408/720-1918 oki fax service: call toll free 1-800-oki-6994 oki web site: http://www.okisemi.com oki stock no: northwest area 785 n. mary avenue sunnyvale, ca 94086 tel: 408/720-8940 fax: 408/720-8965 southwest area 2302 martin street suite 250 irvine, ca 92715 tel: 714/752-1843 fax: 714/752-2423 northeast area 138 river road shattuck office center andover, ma 01810 tel: 978/688-8687 fax: 978/688-8896 southeast area 1590 adamson parkway suite 220 morrow, ga 30260 tel: 770/960-9660 fax: 770/960-9682 030321-001 oki stock no: 320081-001


▲Up To Search▲   

 
Price & Availability of MG64P

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X